Vaksman V.D. Lab work 3 #6

Merged
eegov merged 5 commits from Lab3 into Lab2 2022-10-07 10:35:54 +04:00
No description provided.
just_valery__ added 5 commits 2022-10-06 19:51:15 +04:00
just_valery__ changed title from Lab3 to Vaksman V.D. Lab work 3 2022-10-06 19:51:39 +04:00
just_valery__ requested review from eegov 2022-10-06 19:51:48 +04:00
eegov approved these changes 2022-10-07 10:35:46 +04:00
eegov left a comment
Collaborator

Зачтено с понижением балла(ов)

Зачтено с понижением балла(ов)
@ -0,0 +61,4 @@
if (form.ShowDialog() == DialogResult.OK)
{
DrawningObjectShip ship = new(form.SelectedShip);
if (form.SelectedShip == null || !(_mapShipsCollectionGeneric + ship))
Collaborator

Операция должна возвращать не bool тип

Операция должна возвращать не bool тип
@ -0,0 +88,4 @@
return;
}
int pos = Convert.ToInt32(maskedTextBoxPosition.Text);
if (_mapShipsCollectionGeneric - pos)
Collaborator

Операция должна возвращать не bool тип

Операция должна возвращать не bool тип
@ -0,0 +55,4 @@
/// <param name="map"></param>
/// <param name="ship"></param>
/// <returns></returns>
public static bool operator +(MapWithSetShipsGeneric<T, U> map, T ship)
Collaborator

Операция должна возвращать не bool тип

Операция должна возвращать не bool тип
@ -0,0 +65,4 @@
/// <param name="map"></param>
/// <param name="position"></param>
/// <returns></returns>
public static bool operator -(MapWithSetShipsGeneric<T, U> map, int position)
Collaborator

Операция должна возвращать не bool тип

Операция должна возвращать не bool тип
@ -0,0 +44,4 @@
/// <param name="ship">Добавляемый корабль</param>
/// <param name="position">Позиция</param>
/// <returns></returns>
public bool Insert(T ship, int position)
Collaborator

Операция должна возвращать не bool тип

Операция должна возвращать не bool тип
@ -0,0 +69,4 @@
/// </summary>
/// <param name="position"></param>
/// <returns></returns>
public bool Remove(int position)
Collaborator

Операция должна возвращать не bool тип

Операция должна возвращать не bool тип
eegov merged commit ed1f61ba54 into Lab2 2022-10-07 10:35:54 +04:00
Sign in to join this conversation.
No reviewers
No Label
No Milestone
No project
No Assignees
2 Participants
Notifications
Due Date
The due date is invalid or out of range. Please use the format 'yyyy-mm-dd'.

No due date set.

Dependencies

No dependencies set.

Reference: just_valery__/ISE-21_Vaksman_V_D_WarmlyShip_Base#6
No description provided.